C:\Documents and Settings\Aaron\My Documents\blog\python\myhdl\permute>python vP
ermute.py
Traceback (most recent call last):
  File "vPermute.py", line 8, in <module>
    inst = toVerilog(permute, a, x, (0, 1, 2, 3))
  File "c:\python25\lib\myhdl\_toVerilog\_convert.py", line 119, in __call__
    _convertGens(genlist, vfile)
  File "c:\python25\lib\myhdl\_toVerilog\_convert.py", line 272, in _convertGens

    compiler.walk(ast, v)
  File "c:\python25\lib\compiler\visitor.py", line 106, in walk
    walker.preorder(tree, visitor)
  File "c:\python25\lib\compiler\visitor.py", line 63, in preorder
    self.dispatch(tree, *args) # XXX *args make sense?
  File "c:\python25\lib\compiler\visitor.py", line 57, in dispatch
    return meth(node, *args)
  File "c:\python25\lib\myhdl\_toVerilog\_convert.py", line 729, in visitModule
    self.visit(stmt)
  File "c:\python25\lib\compiler\visitor.py", line 57, in dispatch
    return meth(node, *args)
  File "c:\python25\lib\myhdl\_toVerilog\_convert.py", line 950, in visitFunctio
n
    self.visit(node.code)
  File "c:\python25\lib\compiler\visitor.py", line 57, in dispatch
    return meth(node, *args)
  File "c:\python25\lib\myhdl\_toVerilog\_convert.py", line 835, in visitStmt
    self.visit(stmt)
  File "c:\python25\lib\compiler\visitor.py", line 57, in dispatch
    return meth(node, *args)
  File "c:\python25\lib\myhdl\_toVerilog\_convert.py", line 582, in visitFor
    self.require(node, isinstance(cf, astNode.CallFunc), "Expected (down)range c
all")
  File "C:\Documents and Settings\Aaron\My Documents\myhdl\lib\python\myhdl\_toV
erilog\__init__.py", line 113, in require
  File "C:\Documents and Settings\Aaron\My Documents\myhdl\lib\python\myhdl\_toV
erilog\__init__.py", line 108, in raiseError
myhdl.ToVerilogError: in file C:\Documents and Settings\Aaron\My Documents\blog\
python\myhdl\permute\permute.py, line 16:
    Requirement violation: Expected (down)range call